entity splitThree is port (inBus: in bit_vector(2 downto 0); out0, out1, out2: out bit); end splitThree; architecture splitThreeArch of splitThree is begin out0 <= inBus(0); out1 <= inBus(1); out2 <= inBus(2); end splitThreeArch;